我正在尝试在edaplayground中制作测试台代码

时间:2019-06-12 07:32:17

标签: verilog system-verilog edaplayground

我的代码中有一些错误,但是我的代码找不到任何错误。 EDA游乐场说

  

“执行被中断或已达到最大运行时间。”

这是我的代码

forever #5 clk = ~clk;

1 个答案:

答案 0 :(得分:1)

您的测试台包括以下几行:

forever
#5 clk = ~clk;

此代码将永远执行 。 (线索在于语法。)因此,您的仿真将永远不会停止。 EDA Playground的最大运行时间为1分钟,因此您的模拟将在此之后终止。因此,您的错误消息。

完成后,您需要停止执行此代码。您需要这样的东西:

  reg clk, clear, go;

  ...

  initial 
  begin  
    go = 1'b1;
    ...
    while (go)
    #5 clk = ~clk;
  end

  initial begin
    $dumpfile("systolic_array1.vcd");
    $dumpvars(1,systolic_array);
    #10
    ...
    go = 1'b0;
  end

https://www.edaplayground.com/x/4BCg