Questa未检测到关于always_comb

时间:2019-04-16 10:02:20

标签: system-verilog

我有一个简单的模块:

module always_comb_error_ex
(
    input logic a, b,
    output logic y, z
);

// Error (10166): SystemVerilog RTL Coding error at always_comb_error_ex.sv(13): always_comb 
// construct does not infer purely combinational logic
// Info (10041): Inferred latch for "z" at always_comb_error_ex.sv(17)
// Info (10041): Inferred latch for "y" at always_comb_error_ex.sv(17)
always_comb begin 
    if (a > b) begin 
        y = 1;
        z = 0;
    end
    else if (a < b) begin
        // y = 0;  // missing output will create latch
        z = 1;
    end
    // else begin   // missing 'else' block will create latch
        // y = 1;
        // z = 1;
    // end
end 

endmodule 

自从我使用always_comb以来,我应该对闩锁发出一些警告。.但是使用Questa 10.7b并没有警告

用于编译的tcl提示:

set work work
vlib -type directory $work
vlog -work $work +acc -sv -vopt -O5 +incdir+./ ../src/sv_test.sv
exit

1 个答案:

答案 0 :(得分:0)

通过vlog的简单解析,并不能捕获所有错误。一些错误/警告只有在进行详细说明后才会显示,vsim