如何通过测试平台对阵列施加刺激

时间:2019-04-08 03:59:57

标签: verilog

我想将一些值应用于通过testbench输入的“ in”。 TB部分附在下面,我知道这是错误的,如何在verilog中做到这一点?

reg clk;
reg reset;
reg [size-1:0] in[elements_num-1:0];
wire   [size-1:0] variance;
wire  [size-1:0] mob;
wire  [size-1:0] com;


mobility  dut (
            .clk(clk),
            .in(in[elements_num-1:0]),
            .reset(reset),
            .variance(variance),
            .mob(mob),
            .com(com)
    );

1 个答案:

答案 0 :(得分:0)

一个选项是从文件初始化内存。您可以为此使用“ readmem”(十进制),“ readmemh”(十六进制)或“ readmemb”(二进制)函数。

initial
begin
    $readmemb("your_memory_contents.txt", in);
end

您可以为'your_memory_contents.txt'使用以下文件:

00000001
00010000
00010010
...