如何在Verilog环境中调用dll文件?

时间:2019-02-22 20:23:00

标签: verilog dll-injection

我正在编写一个测试平台,然后在tb中尝试在ModelSim env中调用一个dll文件,但是环境似乎无法识别该dll文件。

下面是我的代码:

`timescale 1ns / 1ps

 module c7552_tester();
 reg ;wire ;

 wire; reg; detected; 
 integer testFile, faultFile, status; 
 reg[206:0] testVector;
 reg [8*60:1] wireName;
 reg stuckAtVal;
 wire GoP,FoP;

 c7552_net GUT ();

 c7552_net FUT ();


 initial begin

 faultFile = $fopen("C:/xilinxproj/pattern_test/c7552.flt", "r");
 if (!faultFile)
  $display("Could not open \"faultFile\"");
 else begin
  $display("could open faultFile");
    end

 while( ! $feof(faultFile)) begin
 detected = 1'b0;  
 status = $fscanf(faultFile,"%s s@%b\n",wireName, stuckAtVal);
 $InjectFault ( wireName , stuckAtVal);
 testFile = $fopen("C:/xilinxproj/pattern_test/c7552.pat", "r");
    while((!$feof(testFile))&(detected == 0)) begin
    #30;
    status = $fscanf(testFile,"%b\n", testVector);
    {} = testVector;
    #60;
        if (GoP != FoP) begin
        detected = 1'b1;  
        $display("Fault:%s SA%b detected by %b at %t.",wireName,stuckAtVal, 
    {}, $time);
        end 
    end 
    $RemoveFault(wireName);

    #30; 
   end
  $stop;
  end

 endmodule

我想知道我的声明是否正确。

0 个答案:

没有答案