如何在systemverilog中调用另一个对象中的任务?

时间:2015-09-18 22:13:28

标签: system-verilog

在对象C下有一个任务T,对象B和C在对象A下处于同一级别。对象B在超时时如何调用对象C下的任务T? 提前谢谢。

1 个答案:

答案 0 :(得分:0)

  • 无法在其范围之外访问常规方法。
  • 但是如果您定义了静态方法,比如C类。要在B类中访问此方法,请使用范围解析" ::"具有类名的运算符。

例如访问静态"显示"从C类到B类的方法,

class B;

  function void display();
    C::display();
  endfunction

endclass