如何在vhdl中声明自定义库?

时间:2019-01-16 06:35:29

标签: vhdl fpga

我正在通过一个使用自定义库的代码。但是我看不到图书馆的内容。另外,我想确切地知道这个库是如何创建的。它用于actel FPGA A42,开发人员已将该库命名为a42.all。所使用的工具是Libero IDE

1 个答案:

答案 0 :(得分:1)

库是在工具中创建的,而不是VHDL本身。 例如,在modelsim中,使用vlib命令创建库,例如。

vlib my_library;

在VHDL中,您可以使用library命令在任何区域包含库,并且use命令可以包含对象(例如,包):

library my_library;
use my_library.some_package.all;