如何将32个std_logic_vetor字写入VHDL中的数组

时间:2018-09-28 06:29:59

标签: arrays vhdl

我需要将32个std_logic_vetor-s写入数组 有点像

data_array <= {0x0000, 0xA0F1, 0xFFF1, 0xBFB1, 0x1F9A, 0x03CD,...}

我看了一些例子,每个人都在逐字逐句地做。

我需要一个循环吗?

如果它们是常量,我必须写那几十个字符串吗?

1 个答案:

答案 0 :(得分:1)

正确的语法是:

data_array <= (x"0000", x"A0F1", x"FFF1", x"BFB1", x"1F9A", x"03CD",...)

是否可以使用for循环取决于std_logic_vectors的提供方式。如果您从另一个数组或串联的std_logic_vector中获取它们,则可以使用循环。

如果它们是常量,则必须在某个地方定义它们,是的,您必须将它们写下来(或在合适的情况下使用函数进行计算)。