16位加法器进入Spartan 6(16位进8个外部开关)

时间:2018-02-19 05:48:22

标签: verilog

我在verilog中设计了16位加法器电路。但斯巴达6板上只有8个开关。

所以,任何人都可以帮助我知道如何在斯巴达6板上连接8位外部开关的16位加法器。

1 个答案:

答案 0 :(得分:0)

您需要更加智能,考虑开发工具包上资源的所有不同用途。它有时钟源吗?也许您可以提供一个八个开关之一,然后使用另一个开关进行数据输入?你有没有学过移位寄存器呢?

除非你有良好的记忆,否则你需要一种方法来了解移位寄存器的价值。你的开发套件有LED吗?或者可能是七段显示器?可能还不够,但也许您可以使用其他开关来显示您感兴趣的寄存器的不同部分?