Arduino Yun - UART通过USB主机到Nexys 4 DDR FPGA板

时间:2018-01-07 00:58:40

标签: vhdl fpga uart arduino-yun

我正在开发一个需要读取和写入SD卡数据的项目,我想制作用于评估硬件中传入数据的算法。我发现通过我的FPGA板读/写SD卡的唯一方法是实现一个处理器,然后编写软件,这将首先打败使用FPGA板的目的。

所以,我决定使用我的Arduino Yun从micro SD卡读取信息,然后将其编码为二进制文件,并将每个数据发送到UART中的FPGA。

(数据由DNA中的碱基对组成,因此我将每个碱基对占用四位,并使其成为更多的逻辑映射而不是任何类型的ASCII映射或任何东西 - 这个选择的细节不是很重要,但它使得UART和二进制文件对此应用程序有效。)

然而,唯一可以为FPGA板传输UART信号的是微型USB插槽,因此我必须将电缆插入其中,并将其另一端插入Arduino的USB主机。

我已经明白Yun的USB主机只与机载Linux处理器连接,虽然我明白这意味着我需要在micro SD卡上安装各种软件包,这些我已经习惯了延长了Yun的记忆,我不确定要使用哪些包,甚至不知道如何使用它们。

有人能指出我应该安装的库/包的方向,以及我应该编写什么样的代码来实现这个功能?

非常感谢任何帮助。

如果我遗漏了任何细节,请告诉我!

0 个答案:

没有答案