我几天前开始为初学者开设VHDL课程。
我有一个代码(下),我试图了解它显示的电路类型以及不同步骤的运行方式。 我现在已经在互联网上看了一段时间,但不能真正理解它的作用?所以我认为现在有人可能会给我一些解释吗? :.-)
我不确定,但我认为它是一种带有缓冲区的“加法器”?缓冲区正在使用2位(Cs-1 downto 0)但是我不知道Cs的含义......实际上这段代码中有很多东西我不明白。
如果有人花些时间帮助我理解单词中的代码,我将非常感激。
entity asc is
generic (CS : integer := 8)
port (k, ars, srs, e, u: in std_logic;
r: buffer std_logic_vector(Cs-1 downto 0));
end asc;
architecture arch of asc is
begin
p1: process (ars, k) begin
if ars = ‘1’ then
r <= (others => ‘0’);
elsif (k’event and k=’1’) then
if srs=’1’ then
r <= (others) => ‘0’);
elsif (e = ‘1’ and u = ‘1’) then
r <= r + 1;
elsif (e = ‘1’ and u = ‘0’) then
r <= r - 1;
else
r <= r;
end if;
end if;
end process;
end arch;
答案 0 :(得分:9)
我使用Sigasi HDT重命名了您实体的输入和输出(并更正了一些语法错误),这可以使您的实体更加清晰。我做了以下重命名:
k -> clock
ars -> asynchronous_reset
srs -> synchronous_reset
e -> enable
u -> count_up
r-> result
如果启用已声明且 count_up 为true,则结果( r )将在时钟上升。如果 count_up 为false,如果启用在时钟上升沿为真,则结果将递减。
entity asc is
generic (resultWidth : integer := 8);
port (clock, asynchronous_reset, synchronous_reset, enable, count_up: in std_logic;
result: buffer std_logic_vector(resultWidth-1 downto 0)
);
end asc;
architecture arch of asc is
begin
p1: process (asynchronous_reset, clock) begin
if asynchronous_reset = '1' then
result <= (others => '0');
elsif (rising_edge(clock)) then
if synchronous_reset='1' then
result <= (others => '0');
elsif (enable = '1' and count_up = '1') then
result <= result + 1;
elsif (enable = '1' and count_up = '0') then
result <= result - 1;
else
result <= result;
end if;
end if;
end process;
end arch;
使用此代码段时请小心: