将inout / input端口声明为wand

时间:2017-07-18 13:22:31

标签: verilog inout

当我执行以下代码时,我得到了以下错误,但据我所知,输入端口可以是网络类型,那么为什么会出现这种错误?

module a(inout<or input> i);
wand i;
endmodule
  

断言失败:(0),函数draw_net_input_x,文件draw_net_input.c,第727行。   sh:第1行:25015完成/ opt / local / lib / ivl / ivlpp -L -F“/ tmp / ivrlg2190eb213”-f“/ tmp / ivrlg190eb213”-p“/ tmp / ivrli190eb213”

     

25016中止陷阱:6 | / opt / local / lib / ivl / ivl -C“/ tmp / ivrlh190eb213”-C“/ opt / local / lib / ivl / vvp.conf” - -   tmp / zGBnch / a.out:12:语法错误

此代码正常工作。

 module a(inout<or input> i);
   wire i;
 endmodule

关于是什么让我问这个问题,你可以参考这个question

0 个答案:

没有答案