其他正文正在System Verilog中执行

时间:2017-06-12 17:53:37

标签: system-verilog

我正在使用以下代码处理System Verilog中的模块:

module my_module (input             [7:0] rd_i // rd_i = 00001001
                 ,input             [7:0] rs_i // rs_i = 10010010
                 ,output logic  [7:0] result_o // result_o = 00001010
);

    always_comb
        if ((rd_i << 4) & 8'hF0 == rs_i & 8'hF0) begin
            result_o = 'b0101;
        end
        else begin
            result_o = 'b1010;
        end
endmodule

module my_module_tb();
    logic [7:0] rd_i = 'b00001001;
    logic [7:0] rs_i = 'b10010010;
    logic [7:0] result_o;

    my_module uut (
        .rd_i(rd_i),
        .rs_i(rs_i),
        .result_o(result_o)
    );
endmodule

似乎我应该期待result_o = 5,但它等于10.我不明白为什么我的if条件评估为假。

1 个答案:

答案 0 :(得分:2)

问题是运营商优先级。 IEEE Std 1800-2012, 11.3.2运算符优先级,表明==运算符的优先级高于二进制&运算符。这意味着您的代码行为类似于以下内容,并添加了括号:

    if ((rd_i << 4) & (8'hF0 == rs_i) & 8'hF0) begin

由于rs_i不等于8'hF0,因此if子句为false。

要获得所需的行为,请按如下方式添加括号:

    if ( ((rd_i << 4) & 8'hF0) == (rs_i & 8'hF0) ) begin