在vivado中使用testbench .vhd文件

时间:2017-04-10 10:10:03

标签: vhdl xilinx vivado

我最近发布了与此作业相关的内容,但我遇到了另一个绊脚石,似乎无法找到解决方案。我有一个4位alu模拟,但我需要使用由老师提供给我的预先编写的测试台。我已导入测试平台文件,并且未按照指示在源文件属性中选择合成旁边的复选标记。

我的测试平台源文件检测到的被测单元是我的项目的实体.vhd文件,所以一切都应该没问题,除了当我模拟程序时它只是按照正常情况模拟,在tcl控制台中没有输出构成测试平台的任何断言。

我显然用Google搜索并获得了有关如何执行此操作的更多说明,但我无法获得有关vivado软件的具体说明。它讨论了xilinx并且有一个进程窗口,这在Vivado中是无处可见的。我无法理解为什么这么看似简单的事情让我花了很长时间才弄明白。没有任何语法错误,它在编译器窗口附近的消息中说正在解析测试平台。以下是vivado IDE中我的文件结构的屏幕截图。

enter image description here

有人可以告诉我怎么做吗?

谢谢,

西蒙。

1 个答案:

答案 0 :(得分:3)

当您进行模拟时,它将使用设置为顶级模拟实体的任何内容作为该模拟的顶级。在您的映像中,在项目层次结构中选择测试平台,但UUT设置为顶层模块。您需要右键单击测试平台,然后选择“设置为顶部”。