Verilog中的哪些结构可以包含函数?

时间:2016-12-07 07:11:33

标签: function verilog system-verilog

我是verilog的新手并试图找出在verilog中定义/声明函数的位置(就像我知道函数可以在包中定义,还有什么?)。提前致谢。

2 个答案:

答案 0 :(得分:2)

Verilog 中,可以在

之间声明一个函数
  • moduleendmodule(即在模块的当前区域内 - 在模块内部,但在initialalways块之外)
  • generateendgenerate

那就是它。

System-Verilog 中,可以在

之间声明一个函数
  • moduleendmodule
  • generateendgenerate

  • classendclass
  • interfaceendinterface
  • checkerendchecker
  • packageendpackage
  • programendprogram

  • module / interface / checker / package / program
  • 之外

答案 1 :(得分:0)

声明和使用函数的最简单方法可能是,在<module_function_pkg>.vh中声明所有函数并包含它来设计verilog文件。

使用它作为@ dave_59在评论中说。