我是verilog的新手并试图找出在verilog中定义/声明函数的位置(就像我知道函数可以在包中定义,还有什么?)。提前致谢。
答案 0 :(得分:2)
在 Verilog 中,可以在
之间声明一个函数module
和endmodule
(即在模块的当前区域内 - 在模块内部,但在initial
或always
块之外)generate
和endgenerate
那就是它。
在 System-Verilog 中,可以在
之间声明一个函数module
和endmodule
generate
和endgenerate
和
class
和endclass
interface
和endinterface
checker
和endchecker
package
和endpackage
program
和endprogram
和
module
/ interface
/ checker
/ package
/ program
答案 1 :(得分:0)
声明和使用函数的最简单方法可能是,在<module_function_pkg>.vh
中声明所有函数并包含它来设计verilog文件。
使用它作为@ dave_59在评论中说。