凿子3分配到位范围

时间:2016-12-03 16:43:11

标签: chisel

这似乎适用于Chisel 2,但现在不起作用:

class TestX extends Module
{
    val io = IO(new Bundle {
        val a = Output(UInt(width=2))
    })

    io.a(1, 0) := UInt(0)
}

错误:[模块TestX]表达式T_4用作FEMALE,但只能用作男性。

这个变化的修复方法是什么?

0 个答案:

没有答案