SystemVerilog - 如何在队列中找到最大值?

时间:2016-11-14 12:56:59

标签: queue max system-verilog

我有一个未排序的队列(未定义的大小)。

bit [31:0] addr_q [$]

如何在sustemVerilog中找到它的最大值?

1 个答案:

答案 0 :(得分:0)

SystemVerilog具有1800-2012 LRM 7.12.1数组定位器方法中描述的数字数组查询方法。队列是一种解包的数组。使用

max_addr = addr_q.max();