找不到modelsim-altera(linux)

时间:2016-08-31 05:26:13

标签: linux modelsim intel-fpga

我在LinuxMint 17.3操作系统下的PC上安装了Quartus II 64-Bit。我没有任何问题。但我无法使用ModelSim-Altera进行仿真。我收到消息“未找到ModelSim-Altera ......”。 There is我已经执行过的安装ModelSim-Altera的详细说明。但它没有帮助我。我还尝试在ModelSim-Altera的路径末尾设置“/”。现在我现在不需要做什么才能让它能够运行。

其他信息:

运行vsim时我也遇到以下错误:

**致命:在vlm进程中读取失败(0,0) 分段错误(核心转储)

我已尝试执行link下的说明(第二个问题),但我还有上述错误。

在执行上述链接下的所有指令(问题编号1和问题编号2)后,我得到了一个运行良好的vsim。但不幸的是,我无法从Quartus II运行ModelSim。

1 个答案:

答案 0 :(得分:1)

我知道这是一个老线程,但我来到这里寻找答案,最终到处都是。 将此添加到.bashrc似乎有效:

export PATH=$PATH:~/altera/13.0sp1/modelsim_ase/bin:~/altera/13.0sp1/quartus/bin

值得注意的是,我重新下载了modelsim-altera,尽管它说我已经有了它。我无法运行modelsim_ase / linuxaloem / vsim(找不到libXext.so.6,虽然它也已安装),但它现在似乎工作了。 64位Ubuntu 16.04。 希望这有助于其他人。

修改:.bashrc中的export QUARTUS_64BIT=1