Systemverilog:$ realtime显示不同的时间刻度精度

时间:2016-05-19 08:00:09

标签: verilog system-verilog

我试图为以下时间刻度设置打印$ realtime:

`timescale 1ns/10ps 
initial begin        
    #10;
    $display(" %0t",$realtime);
    $display($realtime);
end

获得打印的结果是:

1000
10

我很好奇在实时打印中使用格式说明符有什么不同?如果我错了,请纠正我。我相信它因为时间精度而打印1000(10 * 10ps = 1000 ns)。但差异的原因是什么?

1 个答案:

答案 0 :(得分:3)

$timeformat系统任务用于在使用%t格式说明符时设置输出的格式。它应该只调用一次(如果它被调用不止一次,最后一次调用将覆盖以前的调用)。它有4个参数:它们是:

$timeformat(<units>, <precision>, <suffix>, minimum field width>);

units               : log base 10 of the unit (eg -9 is ns)
precision           : the number of decimal places
suffix              : a string (eg "ns")
minimum field width : the minimum field width

$display(" %0t",$realtime);正在根据$timeformat系统任务设置的时间格式打印时间。由于您尚未调用$timeformat系统任务,因此使用默认值。他们是:

units               : the simulation precision (10ps in your case)
precision           : 0
suffix              : null string
minimum field width : 20

$display($realtime);在您的情况下显示从$realtime系统函数返回的值作为十进制整数 - 10