STD_LOGIC_VECTOR数组

时间:2016-04-27 06:13:33

标签: arrays vector vhdl

我想创建一个可以将std_logic_vectors作为其元素的数组,但我不确定如何将元素放入数组中。

我创建数组的代码如下:

type ist_array is array (0 to 1) of std_logic_vector(31 downto 0);

我想将2个32位向量放入此数组中。向量是输入端口。

但是从这里开始,我不知道如何将我的矢量放入数组中。

我该怎么做?

1 个答案:

答案 0 :(得分:0)

不确定为什么在没有解释的情况下被投票。

我通过试用和调试找到的解决方案是:

user

其中type ist_array is array (0 to 1) of std_logic_vector(31 downto 0); variable Instructions: ist_array; 是我想要创建的数组的类型,ist_array是变量的声明,其类型为Instructions

然后,将矢量放入此数组中我只需:

ist_array