使用BASYS 3上的时钟

时间:2016-04-15 17:26:52

标签: vhdl clock vivado

我想将BASYS 3的时钟用于我的项目。当我搜索项目的约束时,我发现了以下代码:

set_property PACKAGE_PIN W5 [get_ports clk] 
set_property IOSTANDARD LVCMOS33 [get_ports clk] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]

有人可以向我解释一下吗?我知道clk是时钟的输入,但在最后一行我该怎么办?我该改变什么吗? 10.00和waveform{0 5}的时间段是什么意思?你能帮我吗?

1 个答案:

答案 0 :(得分:3)

这些线是Xilinx设计约束(XDC),它是Synopsys设计约束(SDC)的一种风格。

首先,您要分辨物理约束(第1-2行)和时序约束(第3行)。这些是设计流程中不同步骤所必需的。

set_property PACKAGE_PIN W5 [get_ports clk]

此行将您的顶级端口clk连接到图钉W5

set_property IOSTANDARD LVCMOS33 [get_ports clk]

此行设置引脚上升和下降时间的时序分析所需的I / O标准,从而产生建立/保持时间窗口

create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]

这为电线clk定义了100 MHz的时钟信号,占空比为50%。如果您需要在另一个语句中引用此时钟,可以使用名称sys_clk_pin