如何使用VHDL获取数组的前3个值?

时间:2016-03-18 16:57:02

标签: vhdl

如何从数组中获取前3个值?

示例:

a [0,0,2,2,3,0];

sel_x <= " ....";
sel_y <= "    ";

这些是信号声明:

a : in std_logic_vector(5 downto 0);

sel_x : out std_logic_vector(2 downto 0);
sel_y : out std_logic_vector(2 downto 0);

1 个答案:

答案 0 :(得分:2)

根据您对“first”的意思,但要将a分配给sel_x至最左边的3个条目,您可以执行以下操作:

sel_x <= a(5 downto 3);

以更一般的方式:

sel_x <= a(a'left downto a'left - 2);

希望这可以帮助您入门,也许您应该尝试使用模拟器验证代码,因为a [0,0,2,2,3,0];不是有效的VHDL语法。