签名乘数Verilog

时间:2015-12-05 00:17:58

标签: verilog hardware

我正在用Verilog设计一个处理器。我正在研究ALU,特别是ALU的乘数。在使用小的正数进行乘法时,我可以得到正确的结果,但如果我尝试乘以有符号的数字,我会遇到问题。当正数乘以负数时,结果将不会一直延伸到64位,如果两个负数相乘,则数字完全不正确(符号和值)。有人能看出问题出在哪里吗?我以为我没有进行算术转换,但是我调整了它并且仍然得到了错误的结果。

module multiplier(
        input[31:0] operand1,
        input[31:0] operand2,
        output reg [63:0] product
    );

reg [64:0] prod;
reg [31:0] mcand;
reg [31:0] sum;
integer i = 0;


always @* begin


   prod = {32'b0,operand1}; 
   mcand = operand2;

    for(i=0;i<32;i=i+1) begin

        //test 0 bit of product
        case(prod[0])
            1'b0:begin        //if prod[0] == 0, arithmetic shift right
                    prod = prod>>>1;
            end

            1'b1:begin    //if prod[0] == 1, add multiplicand to upper 32
                             //bits and arithmetic shift right
                    prod = {(prod[63:32]+mcand[31:0]),prod[31:0]};
                    prod = prod>>>1;
                end
        endcase
    end

product = prod[63:0];

end


endmodule

1 个答案:

答案 0 :(得分:0)

要使>>>执行带符号的移位,必须将变量声明为signed。

reg signed [64:0] prod;

简短示例on eda playground

另请注意,prod = {32'b0,operand1};不是符号扩展名。你可能应该使用:

prod = { {32{operand[31]}}, operand1 };