使用VHDL实现FPGA中的RAM

时间:2015-08-05 11:19:04

标签: vhdl fpga

我试图按照this excellent blog post中的指导实施双端口RAM。但是,ModelSim在编译时会发出以下警告:

** Warning: fifo_ram.vhdl(24): (vcom-1236) Shared variables must be of a protected type.

我似乎也无法将其创建为波形,向我表明使用下面的代码无法识别变量。

如何正确地将此变量声明为“受保护”类型?另外,作为关于共享变量的更一般的问题 - 这个变量是否在设计中的所有实体之间共享?

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

entity fifo_ram is 
    generic (data : natural := 8;
             addr : natural := 16);

    port (w_clk : in std_logic;
          w_en : in std_logic;
          w_addr : in std_logic_vector (addr-1 downto 0);
          w_data : in std_logic_vector (data-1 downto 0);
          --
          r_clk : in std_logic;
          r_rdy : in std_logic;
          r_addr : in std_logic_vector (addr-1 downto 0);
          r_data : out std_logic_vector (data-1 downto 0));
end fifo_ram;

architecture rtl of fifo_ram is 
    -- shared memory
    type mem_type is array ( (2**addr) - 1 downto 0 ) of std_logic_vector(data-1 downto 0);
    shared variable mem : mem_type;

begin
    write: process (w_clk)
    begin 
        if (rising_edge(w_clk)) then 
            if (w_en = '1') then
                mem(conv_integer(w_addr)) := w_data;
            end if;
        end if;
    end process write;

end architecture;

----------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

entity tb_fifo is 
    generic (data : natural := 8;
             addr : natural := 16);
end entity;

architecture testbed of tb_fifo is

    signal tb_w_clk, tb_w_en : std_logic := '0';
    signal tb_w_addr : std_logic_vector (addr-1 downto 0);
    signal tb_w_data : std_logic_vector (data-1 downto 0);
    signal tb_r_clk, tb_r_rdy : std_logic := '0';
    signal tb_r_addr : std_logic_vector (addr-1 downto 0);
    signal tb_r_data : std_logic_vector (data-1 downto 0);
begin 
    dut : entity work.fifo_ram(rtl)
        port map(tb_w_clk, tb_w_en, tb_w_addr, tb_w_data,
                 tb_r_clk, tb_r_rdy, tb_r_addr, tb_r_data);

    wclock : process is
    begin
        tb_w_clk <= '1';
        wait for 10 ns;
        tb_w_clk <= '0';
        wait for 10 ns;
    end process wclock;

    wdata : process is
    begin
        tb_w_addr <= x"FFFF";
        tb_w_data <= x"AA";
        wait for 100 ns;
        tb_w_en <= '1';
        wait for 70 ns;
        tb_w_en <= '0';
        wait;
    end process wdata;

end architecture;

2 个答案:

答案 0 :(得分:3)

好的,通过博客文章,我现在明白为什么他们使用共享变量而不是信号。这是因为多个进程分配给这个变量,这在Verilog中的 reg 或VHDL中的 signal 的情况下是不可能的。在这种情况下,合成器将产生错误,抱怨 mem 的多个驱动程序。但是为了在这种情况下使用共享变量,您必须将其声明为受保护。您需要做的是声明受保护的数据类型,然后将 mem 变量封装在其中,就像面向对象语言中的类一样。以下是受保护数据类型的示例:

type mem_envelope is protected        -- protected type declaration

variable mem : mem_type;

function GetVal( addr : integer ) return std_logic_vector(data - 1 downto 0);
function SetVal( addr : integer; val : std_logic_vector(data - 1 downto 0) ) return boolean; --may be used to indicate whether write was successfull or not

end protected mem_envelope;

然后声明一个mem_envelope类型的sharede变量,并使用 GetVal SetVal 函数读取/写入进程内存中的值。

答案 1 :(得分:3)

实现真双端口(TDP)RAM的另一种方法是使用一个进程和两个时钟。

{{1}}

这甚至可以与Xilinx工具合成。 Altera工具需要altsyncram宏来进行正确的TDP-RAM识别。

来源:https://www.playframework.com/documentation/2.0.2/ScalaForms#Repeated-values