如何在FPGA板上使用VHDL显示句子

时间:2015-07-01 18:10:13

标签: vhdl fpga hdl

我只是想知道是否可以在FPGA板的7段显示器上显示一个句子,例如“SOLD OUT”,我只能显示四个字母。

我希望它显示SOLD然后OUT。

如果可以实现,我该怎么办?时钟分频器?

1 个答案:

答案 0 :(得分:5)

首先研究董事会的工作。它如何连接到LCD显示屏?将值驱动到显示器所需的波形是什么。不同的电路板会有所不同。 Digilent板往往是常见的Anode。一些Altera电路板将所有四个7段显示器直接连接到FPGA IO(浪费IO,但是当你有足够的时候谁在乎)。

接下来,您确定如何在显示屏上显示字符。有什么价值?什么将内部表示(例如ASCII)中的值转换为显示值。

WRT时钟,我的首选是使用通用FPGA时钟并使用负载使能,提供定期指示,是时候加载其他图像。

想想你应该多快切换显示器?我的建议是确保在切换前显示每个值1秒,并在看到它工作后进行调整。如果过多地缩短显示时间,则显示将模糊,因为两个值都不会显示足够长的时间。

接下来如何显示4个字符?

接下来,如何让角色在不同值之间移动或交替。这可以是字符宽移位寄存器,它可以是两个不同的显示寄存器。

在每个步骤中,您应该在编写任何代码之前绘制硬件图片。