警告:Xst:1290和警告:Xst:528

时间:2015-06-10 14:13:46

标签: vhdl

关于我的程序的警告和错误,请帮忙

  

警告:Xst:1290 - 分层块< bjn9>在块< sequencedetector>中未连接      它将从设计中删除。
  警告:Xst:528 - 单元中的多源< sequencedetector>信号未被逻辑替换   来源是:sg16_Mxor_q_Result:结果,sg15_Mxor_r_Result:结果

以下程序

bjn9: bjn
    port map (s12,s14,o,g9,g10,s15);

建议在VHDL代码中纠正的步骤

1 个答案:

答案 0 :(得分:1)

未连接的阻止警告

删除警告的可能解决方案:

  1. 删除bjn9实例。
  2. bjn9的任何输出连接到使用它们的内容。
  3. 多源警告

    删除警告的可能解决方案:

    1. 删除多个来源中的所有来源。
    2. 添加逻辑以将信号源合并为单个信号。