verilog中的正确算术(循环)移位

时间:2015-05-20 19:02:12

标签: math verilog cycle shift intel-fpga

我是verilog的新手并且陷入了一个奇怪的时刻。我试图让循环的LED闪烁(从左到右的绿灯和从右到左的红灯)。我有12个LED并且正在使用clock(CLK)同步我的程序。

我想为此使用算术移位,但出于某种原因,<<<>>>的行为类似于<<>>,因此移位不是循环的。为什么会这样?我做错了吗?

我将整个代码放在必要的地方,非常简短:

module test1(
  input CLK,
  output [11:0] MLED 
); 
reg [11:0] tmp; 
reg [11:0] tmpred;
reg [11:0] tmpgreen;
assign MLED = tmp; 
reg [1:0] COUNTER;
wire [1:0] COUNTER_WIRE;  
assign COUNTER_WIRE=COUNTER; 

wire  LOG; 
assign LOG=(COUNTER_WIRE==2'd3) ? 1'b1 : 1'b0;  

initial 
  begin 
    tmp<=12'b100000000001;
    tmpred<=12'b000000000001;
    tmpgreen<=12'b100000000000;
  COUNTER<=0; 
  end 

always@(posedge CLK) 
  begin 
    if (LOG) 
      begin 
        tmpred <= $signed(tmpred) <<< 2;
        tmpgreen <= $signed(tmpgreen) >>> 2;
        tmp <= tmpred | tmpgreen;
      end 
    COUNTER <= COUNTER + 1'b1; 
  end 
endmodule 

1 个答案:

答案 0 :(得分:2)

<<<>>>是签名移位运算符 - 即0xF0 >>> 1 = 0xF8 vs 0xF0 >> 1 = 0x78。右移会有所不同,但<<<将与<<相同。

要进行循环右移,请改用tempred <= {tempred[0],tempred[11:1]};