ModelSIM:用VHDL调试SIGNAL

时间:2015-03-27 22:49:15

标签: vhdl fpga modelsim

我正在使用VHDL代码处理大量的SIGNAL,我应该能够在ModelSim的模拟中看到它来调试我的设计。

我的问题是,是否有必要在我的顶级实体上声明输出,以便我可以将它们连接到那些内部SIGNAL,或者是否有其他方式可以从ModelSim访问它们?

1 个答案:

答案 0 :(得分:1)

如果您可以交互式评估您的设计模型

您可以使用波形转储显示来调试您的设计吗?您通常可以查看设计层次结构中的任何节点。

如果您需要算法或程序化访问权限进行验证

您的Modelsim -2008是否合规?为了在未合成的测试平台或块中进行验证,可以使用外部名称。

参见IEEE Std 1076-2008,8.2外部名称。您可以通过提供路径名来访问信号,变量和常量。外部名称也在Peter Ashenden和Jim Lewis的书 VHDL 2008 Just the New Stuff ,第2章中描述。

在Modelsim中

show 命令可以访问信号,进程,常量,变量和实体。请参阅Modelsim参考手册,命令,show。可以从命令行或宏文件中输入命令。