使用FPGA的Snake游戏(NEXYS2)

时间:2014-11-14 19:20:15

标签: vhdl fpga

我计划使用VHDL中的NEXYS2板进行蛇形游戏,并将其显示在LED矩阵中,类似于视频http://www.youtube.com/watch?v=niQmNYPiPw0中的内容,但我仍然不知道从哪里开始。我将如何在VHDL中实现它?

1 个答案:

答案 0 :(得分:2)

除了设计和连接硬件(连接器,LED矩阵等)和游戏的实际设计(规则和输入导致哪些输出)之外,您首先将设计约束分解为块然后再编写作为VHDL。例如,您可能有一个显示输出组件,它接受像素更改事件并将屏幕状态写入显示器(或者它可能需要整个屏幕状态,并且只处理显示器的接口逻辑)。您可能拥有游戏逻辑组件和控制器界面组件。

一旦你决定了每个块应该做什么,你必须决定如何使用VHDL编写的数据流和控制逻辑(你需要首先了解VHDL的基本语法和功能!)。

然后合成器将您的RTL描述转换为触发器和查找表的实现,并且位置和路径工具使用您所针对的FPGA的实际资源计算放置和连接这些单元的位置,生成二进制配置文件。

配置文件被加载到FPGA上(假设你的硬件连接正确,你的VHDL和设计是完美的)你有一个有效的蛇游戏。

简单,对吧?

为了在这里得到有用的答案,你需要进入"编写VHDL"阶段,写一些VHDL,看它根据你的约束无法运作,然后问一个问题。