Verilog-A& Verilog;它们是一样的吗?

时间:2014-05-11 11:29:10

标签: verilog modelsim

  • Verilog-A和Verilog一样吗?
  • 是否有Verilog-A的测试编译器?

因为当我在ModelSim上粘贴一些Verilog-A的源代码时,总会有一些错误无法删除。 ModelSim可以运行Verilog-A吗?

4 个答案:

答案 0 :(得分:4)

Verilog-A和Verilog相关但非常不同。

Verilog首先被标准化,通常用于描述数字电路和测试平台的行为。 Verilog是事件驱动的。可以在测试平台中模拟模拟行为,但模拟行为的建模可能很困难。

Verilog-a后来被标准化,并且基于verilog的语法。它用于模拟电路的建模。这两种语言不兼容,都具有另一种不支持的结构。 Verilog-a可以模拟连续时间行为,如spice / specter模拟器。 它不能很好地模拟数字行为。 Modelsim或其他Verilog模拟器'不支持Verilog-a - 它主要由spice模拟器支持。

Verilog-AMS是Verilog-a的演变,它允许模拟和数字结构在同一文件/块中共存。然而,它再次只支持现代verilog的一个子集。标准的Verilog仿真器不支持它 - 再次支持模拟/ spice /幽灵模拟器。

答案 1 :(得分:3)

  1. Verilog-A HDL源自IEEE 1364 Verilog HDL规范,但它们并不相同。
  2. 我认为this问题可以帮助您找到所需内容。

答案 2 :(得分:2)

Verilog是数字硬件描述的弃用标准。正式上一个版本是IEEE 1364-2005。它已被SystemVerilog(IEEE 1800-2017)取代。虽然已弃用,但许多工具仍然支持它。

Verilog-A是模拟扩展。与数字对应物不同,该语言仅用于验证(模拟),不能合成。它由" Open Verilog International"标准化。贸易联合会。后来,该标准被纳入Verilog-AMS的子集(Verilog的模拟和混合信号扩展)。

如果你想模拟Verilog-A,你需要一个合适的模拟器。这可以是混合信号模拟器(例如VCS AMS,Questa ADMS或Allegro AMS)。或者,大多数商业SPICE仿真器都支持Verilog-A。

答案 3 :(得分:0)

Verilog-A 与 Verilog 不同。

Verilog 用于描述数字电路,而 Verilog-A 用于模拟模拟电路的行为。

Verilog 在事件驱动的模拟器上运行,而 Verilog-A 需要连续时间、类似香料的模拟器。

事件驱动模拟器比连续时间模拟器快几个数量级,因为与后者相反,事件驱动模拟器不需要计算每个电路节点的电压和电流。信号被抽象为 1 和 0,只有在驱动程序更新时才会触发网络评估。

我不知道支持 Verilog-A 和/或 Verilog-AMS(混合信号风格或 Verilog)的免费模拟器

另一方面,verilator 是(数字)verilog 模拟的绝佳选择。它还支持一些 verilog-ams 的结构,例如 wreal。