使用ModelSim 10.1d
运行以下简单代码时program test;
initial begin
$display("hello world");
end
endprogram
我看到了Error loading design
。这个问题可以在这里复制:http://www.edaplayground.com/s/4/807
我知道ModelSim不支持SV断言/覆盖,但是program
阻止了什么?
答案 0 :(得分:4)
ModelSim不支持Questa支持的以下SystemVerilog结构:
在任何情况下,我都不建议为任何用户使用program
块。见http://go.mentor.com/programblocks
答案 1 :(得分:2)
显然它没有。如果从示例中添加程序块的实例并尝试运行它,则会出现此错误:
# ** Fatal: (vsim-3904) SystemVerilog Program Blocks are not supported in Modelsim PE.
此处发布的更新示例:http://www.edaplayground.com/s/474/810