4位加法器 - 减法器逻辑

时间:2013-12-04 20:58:19

标签: logic vhdl addition subtraction

我几乎成功实现了n位加法器 - 减法器。除了一件事,它工作正常。

在无符号减法不起作用后进行,我的预期如何。

Here在第11页上是我构建的电路。在我看来,我已经建立了正确的方式。它是一个4位加法器/减法器。

所以为了理解我的无符号携带问题,让我们用无符号计算1111 - 1111。 15 - 15是0,所以它应该是0000.什么是无符号进位?

输入:

a <= "1111";
b <= "1111";
s <= '1';

唯一能做的就是构建一个2的补码b。我们来做吧。

b = 1111
1'st complement
b = 0000
2's complement
b = 0001

现在我们可以执行加法1111 + 0001。

 1111
 0001
=====
10000

这就是我的(思考)问题。进位(第5位)是1.我用溢出进位计算了15-15 = 0,我根本不理解。

另一方面,我可以计算1110 - 1111(14-15),它应该是-1,不能用无符号数表示。所以在这里我会期待溢出位。

b = 1111
2's complement => 0001

 1110
 0001
=====
01111

所以它告诉我14-15是15(这并不奇怪)但溢出标志设置为0.

我误解了减法溢出位,还是unsigned_cout xor sub丢失了?

我的VHDL代码:


- 全程加法器

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity fad is
    port(
        a,b,cin : in std_logic;
        o, cout: out std_logic);
end fad;



architecture behavior of fad is
begin
    o <= a xor b xor cin;
    cout <= (a and b)or (cin and (a xor b));
end behavior;

- 加法器 - 减法器

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity add_sub is
    generic(N : natural := 4);
    port(
        a, b : in std_logic_vector(N-1 downto 0);
        sub : in std_logic;
        o : out std_logic_vector(N-1 downto 0);
        scout, ucout : out std_logic);

end add_sub;

architecture behavior of add_sub is
    signal carries : std_logic_vector(N downto 0);
    signal bXorSub : std_logic_vector(N-1 downto 0);
    component fad is
        port( a, b, cin : in std_logic;
                o, cout : out std_logic
        );
    end component;
begin
    carries(0) <= sub;
    subtraction: for i in 0 to N-1 generate
        bXorSub(i) <= b(i) xor sub; 
    end generate subtraction;

    RCA: for i in 0 to N-1 generate
        fadN: fad port map( 
            a => a(i), 
            b => bXorSub(i), 
            cin => carries(i),
            o => o(i), 
            cout => carries(i+1));
    end generate RCA;
    ucout <= carries(N);
    scout <= carries(N) xor carries(N-1);
end behavior;

1 个答案:

答案 0 :(得分:2)

好的,我睡了,现在变得更清楚了; - )

无符号减法后,进位必须为'1',否则会出现溢出,这就是原因。

波纹进位加法器包含更多(此处为4)全加器。所以我们永远不会真正减去。但是,在签名添加中,我们可以计算例如4 + (-1)

使用无符号数字,我不能代表负数。所以事实上,我根本无法计算4 - 1。我没有任何减法者,我不能代表一个否定号码。

那么我该如何进行这样的操作呢。因为它显然有效。 4 - 1 = 3(0100 - 0001 = 0011)。

仅使用加法器减少无符号数字的唯一方法就是溢出它。事实上,我们不能代表所有正数是解决方案(4位是无符号最大值15)。

例如,我们使用4位无符号数字计算15 - 15。 15 - 15是0.那么我们在“1111”上添加“0000”是什么?只是一个“0001”,这是二十五的补码。我们记得:

2's complement = invert the number and add 1
"1111" => "0000"
"0000" + "0001" = "0001".

然后我们在15上添加这个号码。

 1111
 0001
=====
10000

在那里,你可以看到(右)溢出。

因此,如果计算执行正确,则无符号减法的最后一个进位位必须设置为“1”。否则,如果为'0',则减去的数字应为负数,无符号数不能代表。