verilog中的这个错误“无效的模块项”是什么?

时间:2013-09-24 18:41:17

标签: verilog

我已经定义了两个模块,datapath和ctrl。

然后我在同一个文件中定义了另一个模块,它实例化了datapath和ctrl

module mult(input reset, input [3:0] i0,i1, output o);  
   wire [3:0] cnt, sh;
   wire load, go,ld1 
   datapath d0(i0,i1,cnt,sh,load,go,o);
   ctrl c0(reset, clk,sh,cnt,ld1,load,go);
endmodule

但是verilog在“datapath d0 ......”这一行抱怨“无效的模块项目”,为什么?

1 个答案:

答案 0 :(得分:8)

您在上一行中缺少分号。