触发器,具有单周期使能信号

时间:2013-07-15 21:16:23

标签: vhdl

我有一个触发器,我只需要启用一个时钟周期。在这种情况下,单周期使能信号的标准做法是什么?

提前致谢

1 个答案:

答案 0 :(得分:0)

触发器仅在复位后启用一个周期,如下所示;所有信号都是std_logic:

process (clk, rst) is
begin
  if rst = '1' then
    fired <= '0';
  elsif rising_edge(clk) then
    if fired = '0' then
      q     <= d;
      fired <= '1';
    end if;
  end if;
end process;