VHDL语法错误,如果那么过程非常简单

时间:2013-06-11 18:34:52

标签: if-statement vhdl

我正在尝试制作一个简单的程序,以便在按下按钮时打开LED。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity TurnOn is
Port ( sig : in STD_LOGIC;
       led : out  STD_LOGIC);
end TurnOn;

architecture Behavioral of TurnOn is

(Line 39) process(sig)
begin

if sig = '1' then
led <= '1';
(Line 44) else
led <= '0';

(Line 47)end if;    
end process;
end Behavioral;

我收到了这些错误。

Line 39: Syntax error near "process".
Line 44: Syntax error near "else".
Line 47: Syntax error near "if".

我是VHDL的新手,所以我假设它是我想念的小东西。盯着这个约一个小时。感谢您的任何意见。

1 个答案:

答案 0 :(得分:2)

您在流程声明之前忘记了begin语句。

architecture Behavioral of TurnOn is

begin

process(sig)
begin