RTL pragma指令触发器的完整列表

时间:2013-04-18 11:06:21

标签: vhdl verilog

在verilog和VHDL中,工具的RTL命令可以作为伪注释的pragma指令给出。我想避免在我的真实评论中使用任何这些pragma指令,所以我想要的是一个完整的pragma指令触发器列表。我知道的是:

-- pragma
// synthesis
-- synopsys

2 个答案:

答案 0 :(得分:3)

您可以在此处找到完整列表:

http://www.sigasi.com/content/list-known-vhdl-metacomment-pragmas

只要你没有以触发器开头的评论,即-- <trigger> ...,你应该是安全的。

例如,使用Altera Quartus时,请避免使用

等注释

-- altera code below

,而

-- The following is for altera.

没关系。

答案 1 :(得分:1)

你可能会找到这样的几个列表,但我怀疑它们中的任何一个都是完整的。 (发布的一个zennehoy缺少-- psl等等。)即使某个地方有完整的列表,你也不知道pragma供应商将来会添加什么,因此你永远无法确定。

如果您希望您的代码尽可能便携,您应该避免使用zennehoy建议的常见供应商/工具名称开始评论(例如synopsys,altera,xilinx,lattice,modelsim等)除此之外我d说你只需抓住机会。