我可以直观地分离位向量常量中的位吗?

时间:2013-04-07 09:39:22

标签: vhdl

我可以将位向量声明中的位分开来更好地区分它们吗? 例如,我有一个32位指令,如:

00000000000000000000000000000000

正如你所看到的那样,只用8位就不那么容易了。所以我想写一些类似的东西:

000000,00000,00000,00000,00000,000000

Tadaaa!更具可读性。有没有办法在VHDL中执行此操作?

2 个答案:

答案 0 :(得分:3)

在bit-string-literal和decimal-literal中,下划线字符'_'被删除,不会影响该值。

允许写入0000000_00000_000_0000_00_0或“0000000_00000_000_0000_00_0”。

答案 1 :(得分:0)

要考虑的其他事情是&运算符,用于连接多个元素,甚至是不同的数据类型(当然还有合适的转换):

sig <= some_top_bits & "00" & some_other_bits & to_unsigned(some_integer, 4);