verilog,参数的二进制长度

时间:2012-12-08 18:45:59

标签: verilog

我的模块获取一个参数,我希望在我的代码中有一个计数器来表示该值。例如,parameter n=16,我想定义reg [x:0] i,所以我可以数到n。怎么找到x?应该有一个真正简单的方法吗?

2 个答案:

答案 0 :(得分:2)

答案 1 :(得分:2)

根据您的工具支持,有几种选择。

  • 编写您自己的log2函数
  • 使用$clog2

这里有一个冗长的讨论:http://www.rhinocerus.net/forum/lang-verilog/646074-how-get-bit-width-value-verilog-compile-time.html