无法在ModelSim上编译自编程函数

时间:2012-05-16 14:13:23

标签: vhdl

我在编译VHDL代码时出现问题,在以下时出现错误:

使用bin2bcd.all;

通过代码在ISE上的工作方式不是在ModelSIm上的任何想法??? !! ! 提前致谢。

library ieee; 
use ieee.std_logic_1164.all; 
use ieee.std_logic_arith.all; 
use ieee.std_logic_unsigned.all; 
library bin2bcd; 
use bin2bcd.all; 

和bin2bcd是:

library IEEE; 
use IEEE.STD_LOGIC_1164.all; 
use IEEE.STD_LOGIC_UNSIGNED.all; 
package bin2bcd is 
function to_bcd ( bin : std_logic_vector(11 downto 0) ) return std_logic_vector; 
end bin2bcd;

1 个答案:

答案 0 :(得分:3)

当你编译bin2bcd时,它会进入work库(除非你让编译器做了别的事情)。

这意味着您需要:

use work.bin2bcd.all

看着这个:

library bin2bcd; 
use bin2bcd.all; 

您实际上可能已将名为bin2bcd的程序包编译到名为bin2bcd的库中。

在这种情况下你需要这样做

library bin2bcd; 
use bin2bcd.bin2bcd.all;