以十六进制或十进制格式打印变量

时间:2012-03-12 17:26:12

标签: gdb

目前,当我在GDB(v)中打印变量print v的值时,我得到一个整数。

是否可以让GDB以十六进制或二进制打印这样的整数变量?

1 个答案:

答案 0 :(得分:144)

当然可以。试试这些:

# Hexadecimal
p/x variable

# Binary
p/t variable

请参阅output formats