在不使用除法或模数的情况下抓取数字的数字

时间:2011-12-28 19:42:29

标签: vhdl digits integer-division

我正在尝试使用VHDL实现7段计数器。

计数器从0开始,并将整数值增加到最大值9999。

该值被传递给一个块,该块应该将数字“拆分”成数字,这样我就可以在多段化的7段上显示它们......

我已经使用许多方法(例如中断)在PIC上完成了这个...但是现在我正在尝试在FPGA上执行此操作(确切地说是Xilinx Spartan 3E入门板)我在实现代码时注意到了ve写道,我既不能使用除法也不能使用模数,因为它们无法实现......

编辑:我知道我可以单独映射每个值0..9999,但这是远远不够的。

当然还有另一种方式,但我无法想到它。

非常感谢任何解决方法的提示!

1 个答案:

答案 0 :(得分:2)

好吧,如果您的数字是十进制的,只需提取包含每个数字的位并将它们发送到您的显示多路复用器。 LSD是num [3:0],MSD是num [15:12]等等。