EDA游乐场$ dumpfile?

时间:2020-04-30 16:42:26

标签: edaplayground

您好,我无法让一些代码在EDA操场上运行。我不断收到消息: “找不到* .vcd文件。EPWave无法打开。您是否使用了'$ dumpfile(“ dump.vcd”); $ dumpvars;'?” 但我已将其包含在代码中。

https://www.edaplayground.com/x/2pim

这里是链接。

1 个答案:

答案 0 :(得分:1)

您的问题是您的代码无法编译。 (这是一个错误,因为您的设计没有名为state的端口。)因此,您的仿真无法运行,因此将没有dump.vcd文件。

相关问题