有没有一种方法可以将无关输入更改为零数组[vhdl]

时间:2019-11-30 05:13:36

标签: vhdl vivado

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.NUMERIC_STD.all;

--Keeps instruction 

entity PC is
    Port ( clk : in STD_LOGIC;
           din : in STD_LOGIC_VECTOR (31 downto 0);
           reset : in STD_LOGIC;
           Dout : out STD_LOGIC_VECTOR (31 downto 0));
end PC;
architecture Behavioral of PC is
begin
counter: process (clk, reset)

begin

    if(clk'event and clk='1') then
        if reset = '0' then
            dout <= din;
         else 
            dout <= x"00000000";
         end if;
    end if;
end process;
end Behavioral;

所以我今天遇到的问题是我找不到能将“无关紧要”输入更改为零数组的方法。当我使用我的代码时,它将采用先前的值,而当我开始仿真时,我将获得未定义的输出,然后当它进入下一个块时,它将将该未定义的解释为奇怪的数字字符串,我得到0x“ 20202020”所以它给了我2020年的addi $ zero,$ at的机器代码 如果有人可以帮助您找到一种方法,以防止0x“ XXXXXXXX”变成0x“ UUUUUUUUU”,那么会有所帮助。

0 个答案:

没有答案