提示时出现警告消息

时间:2011-04-24 21:22:53

标签: warnings simulation wave modelsim

我正在尝试模拟测试平台。我没有得到波形我也在提示时收到以下警告信息。是因为=警告我的代码没有模拟吗?

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file "./wlftazxa4k".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run

我还包括我的测试平台如下:

    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display("qf");
       CLK= 0;
       FROM_IF_ID[35:32]=4'b1100; 
       FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (" TO_ID_HMIC= %b", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule

3 个答案:

答案 0 :(得分:3)

如果这是唯一的消息,则模拟运行,您可以在指定的备用文件(wlftazxa4k)中找到波形。

如果您想解决问题以便在vsim.wlf中显示wave,请尝试以下几点:

  • 确保您没有运行任何流浪的modelsim进程
  • 确保您没有在波形查看器中打开vsim.wlf
  • 手动删除vsim.wlf并重新运行

答案 1 :(得分:0)

我也有这个问题。事实证明,我的vsim文件所在的目录已满(学校分区,600mb允许空间)。清除了我躺在那里的一些旧文件后,程序运行正常。

答案 2 :(得分:0)

你的测验:

  1. 运行模拟
  2. 使用gui或命令退出模拟:quit -sim
  3. 转到步骤1并享受乐趣。
  4. 您可以删除由modelsim创建的缓存文件。

相关问题