库错误:库中必须存在以“ IEEE”表示的主单元“ numeric_std”

时间:2019-08-08 13:22:52

标签: vhdl

我有一个程序MAX + plus II版本10.2 2002年7月10日。在书中找到了程序代码VHDL-项目。该项目称为“ N级处理器”。我的程序MAX + plus II版本10.2抛出一个库错误:库中必须存在以“ IEEE”表示的主单元“ numeric_std”。程序代码如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ste1_un is
    port (clk : in std_logic;
          pok : in integer range 0 to 255;
            x : in unsigned (63 downto 0);
            y : out unsigned (63 downto 0));
end ste1_un;
architecture ste1_un  of ste1_un is
begin
    process(clk)
        variable poka : integer range 0 to 255;
        variable res : unsigned (63 downto 0);
        variable res1 : unsigned (63 downto 0) :=
X"0000000000000001";
    begin 
        if clk'event and clk = '1' then
            res1 :=x; -- X"0000000000000003";
            poka := pok;
            for i in 1 to poka loop
                res := resize((res * res1), 64) ;
            end loop;
            y <= res;
            res := X"0000000000000001";
        end if;
    end process;
end ste1_un;

我寻求帮助!

0 个答案:

没有答案