如何在COCOTB中生成时钟?

时间:2019-07-20 02:10:16

标签: python cocotb

我正在尝试使用Cocotb(基于Python的框架)来验证基于Flash的设计,这是我的新手。我过去一直使用verilog,SystemVerilog。我正在尝试为测试平台生成时钟。

我尝试浏览文档。我在cocotb网站上看到了他们的时钟课程。我还看到我可以使用cocotb.fork(clock(dut.clk,5000).start())之类的东西来生成时钟。

def directed_test(dut):

    cocotb.fork(Clock(dut.clk, 1000).start())

是生成时钟所需要做的吗? cocotb文档中提供的Clock类,我是否应该简单地将其导入并通过在协程内部编写上述语句来生成时钟?

非常感谢您的帮助。

学习者。

1 个答案:

答案 0 :(得分:0)

是的,这就是您所需要的。如果需要,将clk中的dut.clk替换为时钟信号的名称。 指定units选项也很好,请参见https://cocotb.readthedocs.io/en/latest/library_reference.html#cocotb.clock.Clock