ReactSelect-允许区分大小写的可创建选项

时间:2019-07-18 12:26:11

标签: javascript reactjs react-select formik

我在我的ReactJS应用程序中使用React-Select。我创建了一个Creatable Select Type,它允许用户即时创建选项。但是我有一个问题。

问题: 我的选项以区分大小写的方式使用。这意味着userUSER具有不同的应用程序。

当前,例如当我键入user时,我也无法键入USER

我正在浏览文档,但找不到任何允许我使用下拉列表选择以及创建多个CaseSensitive选项的东西。

这是我的选择

                    <CustomSelect
                      id={id}
                      type={Type.CREATABLE_SELECT}
                      options={convertRegexDataToSelect(value)}
                      onChangeCallback={values => {
                        setFieldValue(name, convertSelectToRegexData(values));
                        setFieldTouched(name, true);
                      }}
                    />

作为旁注,我正在使用React-Select,并用一个较大的Formik表格包装,但这超出了问题的范围。关于如何实现此功能的任何想法?

CodeSandbox中的工作示例:https://codesandbox.io/s/priceless-brown-zk7e1

1 个答案:

答案 0 :(得分:1)

解决方案由于用户反馈而更新

library ieee;
use ieee.std_logic_1164.all;

entity maashro3o is
port (Q: out bit_vector (0 to 7);
        A: in bit_vector(2 downto 0);
        en: in bit);
end maashro3o;

architecture maashro3o of maashro3o is
begin


process(A, en)
begin

if (en = '1')  then 

    if (A = "000")  then
        Q <= "10000000";
    elsif (A = "001") then
        Q <= "01000000";
    elsif (A = "010") then
        Q <= "00100000";
    elsif (A = "011") then
        Q <= "00010000";
    elsif (A = "100") then
        Q <= "00001000";
    elsif (A = "101") then
        Q <= "00000100";
    elsif (A = "110") then
        Q <= "00000010";         
    elsif(A = "111") then
        Q <= "00000001";    
end if;

    else
        Q <= "00000000";
end if;

end process;

end maashro3o;

始于:isValidNewOption Prop