Verilog在启动期间始终阻止执行

时间:2019-06-07 20:36:56

标签: verilog system-verilog

我在verilog中始终存在一个阻止,如下所示。内部的所有输入信号始终处于阻塞状态,直到启动时间达到50ns。我不希望在到达启动时间之前执行always块。什么是实现此功能的最佳方法?谢谢。

always begin
 //functional code
 #10
end

1 个答案:

答案 0 :(得分:2)

使用initial

initial #50ns forever begin /* functional code */ #10; end

或者对于组合逻辑,使用

initial #50ns forever @* begin /* functional code */ end