Cenario: 在同一台计算机上运行的API Manager和APIM ANalytics 2.6.0。
问题:启动APIM工作程序节点后,APIM仪表板节点无法启动,并给我很多警告,如下所示:
library ieee;
use ieee.std_logic_1164.all;
entity bus_fifo is
generic(
DEPTH_WIDTH : integer := 0;
DATA_WIDTH : integer := 0
);
port(
clk : in std_logic;
rst : in std_logic;
wr_data_i : in std_logic_vector(DATA_WIDTH-1 downto 0);
wr_en_i : in std_logic;
rd_data_o : out std_logic_vector(DATA_WIDTH-1 downto 0);
rd_en_i : in std_logic;
full_o : out std_logic;
empty_o : out std_logic
);
end entity;
architecture bus_fifo of bus_fifo is
constant DW : integer := (DATA_WIDTH == 10) ? 4 : DATA_WIDTH;
constant AW : integer := DEPTH_WIDTH>>2;
signal write_pointer; : std_logic_vector(AW downto 0);
signal read_pointer; : std_logic_vector(AW downto 0);
signal empty_int : std_logic;
signal full_or_empty : std_logic;
begin
end architecture;
如果我首先启动Dashboard节点,则在工作节点的开始处会显示相同的日志。
我只是遵循默认配置https://docs.wso2.com/display/AM260/Configuring+APIM+Analytics 和https://docs.wso2.com/display/AM260/Enabling+API-M+Analytics+Features+in+WSO2+Stream+Processor
答案 0 :(得分:1)
您必须开始
按照所述顺序一个接一个地连接。
在这里,您必须等到第一个配置文件完全启动后才能开始下一个配置文件。 这样,所有OSGi捆绑软件都将按照Startup order resolver documentation中的顺序启动。