如何在运行时在Verilog中捕获键盘输入?

时间:2019-04-23 03:17:27

标签: verilog system-verilog

我一直在尝试寻找一种在Verilog代码的运行时模拟期间捕获键盘输入的方法。这有可能吗?

我查看了asic-world和在Google上找到的Verilog快速参考资源,但没有发现有关键盘输入方式的任何信息。

1 个答案:

答案 0 :(得分:2)

在这里似乎存在根本的误解,因为用于模拟设计的硬件描述语言与使用相同的描述在实际硬件中实现设计之间的区别。就像绘制风车图片,在该图片上吹动,并期望风车开始旋转。

您当然可以构建该风车的3D模型,模拟该模型上的风的力并观察风的转弯,然后将该模型发送到3D打印机以获取您的风车。我想您可以将风传感器放在监视器的前面,并编写一个程序,将风传感器的值转换为模拟中使用的值。关键是,模拟器不知道该值来自监视器上吹过的某人,它只是看到了参数值的变化。

除非您自己设计键盘硬件并进行仿真,否则从计算机获取键盘输入并使用它来刺激仿真中的设计确实没有多大意义。操作系统已经抽象了键盘硬件,并为您提供了一串字符代码。首先要进行模拟的原因是要验证设计的功能。如果发现问题,您将要重播完全相同的刺激因素,直到解决问题为止。

就像风车示例一样,我确实知道有人可以设置一个程序来读取键盘输入并将其提供给模拟。但这涉及进程间通信(IPC)和特定的工具知识来进行设置。