我刚刚在Eclipse(版本:Eclipse IDE 2018-12)中安装了Sigasi Studio插件。当我尝试启动它以制作一个新的VHDL文件时,我得到以下信息:
无法启动所选的向导。 org / eclipse / lsp4j / Range (发生在com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory中) org / eclipse / lsp4j / Range
请问我该如何解决? 预先谢谢你。
答案 0 :(得分:1)
多亏了Sigasi的支持,我才得以解决问题。他们写给我:
lsp4j插件版本是随附的xtext版本的最新版本 使用Sigasi Studio 4.2。预览中已解决此问题 版本4.3的通道。因此-如果您想使用插件 版本的Sigasi Studio-我建议安装4.3预览版 按照步骤说明 http://insights.sigasi.com/tech/preview.html。
仅此而已。现在,我想用GHDL(作为运行我的项目的编译器)和GTKWAVE(使用wave浏览器)配置Sigasi。我怎样才能做到这一点?
谢谢。
答案 1 :(得分:0)
SIGASI + GHDL + GTKWAVE(全部合为一体)
可以设置它是非常强大的组合。注意,我使用macOS 10.13.6:
第1步
确保同时安装了GHDL和GTKWAVE键入
$ which gtkwave
/usr/local/bin/gtkwave
$ which ghdl
/usr/local/bin/ghdl
第2步
打开Sigasi一个新项目,并使用以下命令创建另一个compile.sh文件:
#!/bin/sh
PROJECT_NAME="PWM_Generator"
PROJECT_NAME_TB="PWM_Generator_tb"
WORKING_DIR="/Users/imeksbank/Dropbox/UMHDL"
/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME.vhd;
/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME_TB.vhd;
/usr/local/bin/ghdl -e --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB;
/usr/local/bin/ghdl -r --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB --vcd=$WORKING_DIR/$PROJECT_NAME/simulation.vcd;
现在,请注意,对于每个项目,您都会创建自己的变量,例如
我总是将Dropbox用于这种方法,因为这样我也可以通过Windows进行访问。
当然,有可能在 Sigasi->外部工具配置器->程序-> compile_sh->环境中创建自定义变量,以将其传递给 compile.sh 独立。在这里,您必须自己处理=)
第3步。
设置外部工具配置,让Sigasi Studio执行shell脚本,并为 gtkwave 创建 .vcd 文件:>
单击当前创建的项目(在我的情况下为PWM_Generator)。
之后,单击运行->外部工具->外部工具配置... 。
然后转到左侧的边栏,在 Program 下创建自己的锚点,例如 compile_sh 。
最后,您有了路线:
现在通过一个自定义的外壳脚本扩展此锚点:
Main-> Location 获得 $ {workspace_loc:/PWM_Generator/compile.sh}
Main->工作目录获得 $ {workspace_loc:/ PWM_Generator}
单击“应用并运行”,就是这样!之后,您可以对VHDL / Verilog进行编程,并通过创建 .vcd 的运行->外部工具-> compile_sh 进行编译。在您的项目中出现gtkwave文件,只需双击它就可以启动。 =)